Simulation Steps - Function of Elaboration

Q.  In the simulation process, which step specifies the conversion of VHDL intermediate code so that it can be used by the simulator?
- Published on 25 Nov 15

a. Compilation
b. Elaboration
c. Initialization
d. Execution

ANSWER: Elaboration

Post your comment / Share knowledge


Enter the code shown above:
 
(Note: If you cannot read the numbers in the above image, reload the page to generate a new one.)