VHDL- Signal assignments mode

Q.  Which mode in VHDL allows to make the signal assignments to a port of mode out by preventing it from reading?
- Published on 29 Sep 15

a. In
b. Out
c. Inout
d. Buffer

ANSWER: Inout

Post your comment / Share knowledge


Enter the code shown above:
 
(Note: If you cannot read the numbers in the above image, reload the page to generate a new one.)