What is bit_vector type?

Q.  Which among the following is pre-defined in the standard package as one-dimensional array type comprising each element of BIT type?
- Published on 25 Nov 15

a. Bit type
b. Bit_vector type
c. Boolean type
d. All of the above

ANSWER: Bit_vector type

Post your comment / Share knowledge


Enter the code shown above:

(Note: If you cannot read the numbers in the above image, reload the page to generate a new one.)